From 3b9add8330f2498ba3ea49de5b745f183080c880 Mon Sep 17 00:00:00 2001 From: Sven Hesse Date: Sun, 5 Jul 2009 11:28:38 +0000 Subject: Fixing indent svn-id: r42126 --- engines/gob/game_v6.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'engines/gob') diff --git a/engines/gob/game_v6.cpp b/engines/gob/game_v6.cpp index e8e08578b3..95e8ddf930 100644 --- a/engines/gob/game_v6.cpp +++ b/engines/gob/game_v6.cpp @@ -85,8 +85,8 @@ void Game_v6::totSub(int8 flags, const char *newTotFile) { if (_vm->_inter->_terminate < 2) _vm->_inter->_terminate = 0; -_hotspots->clear(); -_hotspots->pop(); + _hotspots->clear(); + _hotspots->pop(); if ((flags & 5) && _vm->_inter->_variables) { _vm->_inter->delocateVars(); -- cgit v1.2.3