From bf71af3c917e7112a52e479ef3336a0906d3b59b Mon Sep 17 00:00:00 2001 From: Johannes Schickel Date: Wed, 26 Mar 2008 21:56:20 +0000 Subject: Fixed typo. svn-id: r31254 --- engines/kyra/timer.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'engines/kyra/timer.cpp') diff --git a/engines/kyra/timer.cpp b/engines/kyra/timer.cpp index a2d5a0c7d9..6a6b9ff654 100644 --- a/engines/kyra/timer.cpp +++ b/engines/kyra/timer.cpp @@ -173,7 +173,7 @@ void TimerManager::setNextRun(uint8 id, uint32 nextRun) { return; } - warning("TimerManager::getNextRun: No timer %d", id); + warning("TimerManager::setNextRun: No timer %d", id); } uint32 TimerManager::getNextRun(uint8 id) const { -- cgit v1.2.3