aboutsummaryrefslogtreecommitdiff
path: root/backends
diff options
context:
space:
mode:
authorFabio Battaglia2010-08-22 09:59:46 +0000
committerFabio Battaglia2010-08-22 09:59:46 +0000
commit43349a4cbfda23eb1beba3c6df35090f6a6a63a0 (patch)
treef13dfbcf5e92b7ad60f2fbe7b2d0212df241e267 /backends
parent3677a8a382d0a0c551f8ef075164bc0b765d2bca (diff)
downloadscummvm-rg350-43349a4cbfda23eb1beba3c6df35090f6a6a63a0.tar.gz
scummvm-rg350-43349a4cbfda23eb1beba3c6df35090f6a6a63a0.tar.bz2
scummvm-rg350-43349a4cbfda23eb1beba3c6df35090f6a6a63a0.zip
N64: fix some signed/unsigned int comparison warnings
svn-id: r52270
Diffstat (limited to 'backends')
-rw-r--r--backends/platform/n64/osys_n64.h4
-rw-r--r--backends/platform/n64/osys_n64_base.cpp2
2 files changed, 4 insertions, 2 deletions
diff --git a/backends/platform/n64/osys_n64.h b/backends/platform/n64/osys_n64.h
index f7560eb4d3..dbb20a53d2 100644
--- a/backends/platform/n64/osys_n64.h
+++ b/backends/platform/n64/osys_n64.h
@@ -133,8 +133,8 @@ public:
uint16 _audioBufferSize;
uint32 _viClockRate; // Clock rate of video system, depending on VI mode
- int _timerCallbackNext;
- int _timerCallbackTimer;
+ uint32 _timerCallbackNext;
+ uint32 _timerCallbackTimer;
TimerProc _timerCallback;
/* *** */
diff --git a/backends/platform/n64/osys_n64_base.cpp b/backends/platform/n64/osys_n64_base.cpp
index 9ec9a1b572..06ff38e586 100644
--- a/backends/platform/n64/osys_n64_base.cpp
+++ b/backends/platform/n64/osys_n64_base.cpp
@@ -877,6 +877,8 @@ FilesystemFactory *OSystem_N64::getFilesystemFactory() {
}
void OSystem_N64::setTimerCallback(TimerProc callback, int interval) {
+ assert (interval > 0);
+
if (callback != NULL) {
_timerCallbackTimer = interval;
_timerCallbackNext = getMillis() + interval;